CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 秒表 vhdl

搜索资源列表

  1. shuzizhong

    0下载:
  2. 基于CPLD的智能数字时钟VHDL设计,能实现时钟、秒表、闹钟、定时等功能-ntelligent digital clock CPLD VHDL-based design enables the clock, stopwatch, alarm clock, timer, and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:411377
    • 提供者:Steve
  1. exp18

    0下载:
  2. 这是一个vhdl的交通灯程序,可以实现两个方向间红、黄、绿灯之间的亮灭转换,同时还有秒表的计数、显示功能,为学习vhdl的人提供一定的技术参考。-This is a vhdl traffic lights procedures can be achieved between the two directions of red, yellow, green light off between the conversion, as well as the stopwatch count, displ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1386
    • 提供者:殷超
  1. MB

    0下载:
  2. 基于VHDL语言数字秒表设计,在FPGA实验平台下开发-Digital stopwatch design based on VHDL, FPGA experimental platform under development
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:222018
    • 提供者:李耀
  1. jishu

    0下载:
  2. 基于VHDL的计时秒表 59分59秒59 具有计时暂停功能 通过数码管显示-Timing stopwatch 59.59.59 with timing suspended through digital tube display please enter the text to be translated
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:139732
    • 提供者:温中意
  1. JiShuQi

    0下载:
  2. 实现了一个秒表计数器,输入为2MHZ时钟,使用VHDL语言实现-It implements a stopwatch counter input 2MHZ clock, using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:302984
    • 提供者:黄予
  1. VHDLtime

    0下载:
  2. 实现秒表设计,实现秒、百分秒之间的相互切换,初级VHDL代码-Realize stopwatch design, implementation seconds, percentage of seconds to switch between each other, the primary VHDL code
  3. 所属分类:Document

    • 发布日期:2017-06-12
    • 文件大小:19535870
    • 提供者:张宏达
  1. miaobiao

    0下载:
  2. 使用VHDL\FPGA实现秒表的设计,包含所有源码。-Use VHDL\FPGA to achieve a stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1520245
    • 提供者:梁坤
  1. stopwatch

    0下载:
  2. VHDL秒表设计,硬件环境为NEXYS4开发板,有暂停功能,7段数码管显示。-VHDL stopwatch design, the hardware environment for the NEXYS4 development board, a pause function, 7 digital tube display.
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:733367
    • 提供者:jim
  1. stopWatch

    0下载:
  2. 基于VHDL语言数字秒表的实现!使用模块化的设计,包含详细设计说明文档。可在DE2-115开发板上进行验证!-digital stop watch based on VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:493326
    • 提供者:顾庆水
  1. VHDLstopwatch

    0下载:
  2. 采用vhdl硬件描述语言实现的秒表计时器程序源码及顶层电路设计图,实现了计时器,数码管显示,按键控制及蜂鸣器等功能-Using VHDL hardware descr iption language to realize the stopwatch timer program source code and top-level circuit design, the timer, digital tube display, control buttons and a buzzer functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:216692
    • 提供者:林之钊
  1. FPGA

    0下载:
  2. 韩福柱老师FPGA实验源码,用vhdl语言在xilinx FPGA上实现,包括ad采集,温度传感器读取,秒表,跑马灯和按键次数统计4个实验-Han Fu teacher FPGA column experiment source code, vhdl languages on xilinx FPGA implementations, including ad acquisition, temperature sensor readings, stopwatch, marquees and key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:8433
    • 提供者:Jery
  1. clock

    0下载:
  2. 本个程序主要通过vhdl来实现一个秒表的设计-This procedure mainly through the VHDL to achieve a stopwatch design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-12-11
    • 文件大小:526173
    • 提供者:朱余建
  1. 333

    0下载:
  2. 课程设计设计主要使用了VHDL语言,采用的开发软件是Quartus-II,设计一个循环彩灯控制器和数字显示秒表。在Quartus-II开发平台下进行了编译、仿真。-Cycle lantern controller and digital display stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:191767
    • 提供者:麦琪
  1. EDA-24秒倒计时程序

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。(The stopwatch timer is used in sports competitions and in various fields where requirements are more accurate. This timer is written in a VHDL langu
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:3072
    • 提供者:白米粥
« 1 2 3 4 5 6 7 8»
搜珍网 www.dssz.com